Skip to content

Trending in open source

See what the GitHub community is most excited about today.

  1. genome / pindel

    Pindel can detect breakpoints of large deletions, medium sized insertions, inversions, tandem duplications and other structural variants at single-based resolution from next-gen sequence data. It uses a pattern growth approach to identify the breakpoints of these variants from paired-end short reads.

    SystemVerilog • Built by @liangkaiye @EWLameijer @jmarshall @nnutter @mkroon1

  2. rdsalemi / uvmprimer

    Contains the code examples from The UVM Primer Book sorted by chapters.

    SystemVerilog • Built by @rdsalemi

  3. VerificationExcellence / SystemVerilogReference

    training labs and examples

    SystemVerilog • Built by @mramdas

  4. lowRISC / lowrisc-chip

    The root repo for lowRISC project and FPGA demos.

    SystemVerilog • Built by @wsong83 @wallento

  5. swetland / zynq-sandbox

    a playground for xilinx zynq fpga experiments

    SystemVerilog • Built by @swetland @travisg

  6. VerificationExcellence / UVMReference

    Reference examples and short projects using UVM Methodology

    SystemVerilog • Built by @mramdas @robingarg89

  7. unihd-cag / openhmc

    openHMC - an open source Hybrid Memory Cube Controller

    SystemVerilog • Built by @jurischmidt

  8. VerificationExcellence / SystemVerilogAssertions

    Examples and reference for System Verilog Assertions

    SystemVerilog • Built by @mramdas

  9. Poofjunior / fpga_fast_serial_sort

    a parallel sorting algorithm implemented in hardware that sorts data in linear time as it arrives serially

    SystemVerilog • Built by @Poofjunior

  10. amiq-consulting / svaunit

    SVAUnit is an UVM compliant package that simplify the creation of stimuli/checkers for validating SystemVerilog Assertions (SVA)

    SystemVerilog • Built by @amiq-consulting

  11. nosnhojn / uvm-utest

    SystemVerilog • Built by @nosnhojn @kgover

  12. dovstamler / uvm_agents

    UVM agents

    SystemVerilog • Built by @dovstamler

  13. cjdrake / AES

    Advanced Encryption Standard (AES) SystemVerilog Core

    SystemVerilog • Built by @cjdrake

  14. pulp-platform / riscv

    SystemVerilog • Built by @svenstucki @atraber @FrancescoConti @be4web

  15. luuvish / system-verilog-patterns

    SystemVerilog Design Patterns

    SystemVerilog • Built by @luuvish

  16. amiq-consulting / amiq_apb

    SystemVerilog VIP for AMBA APB protocol

    SystemVerilog • Built by @amiq-consulting

  17. melt-umn / silver

    An attribute grammar-based meta-programming language for composable language extensions

    SystemVerilog • Built by @tedinski @ericvanwyk @krame505 @charleshofer @kambamsu

  18. RoaLogic / adv_dbg_if

    Universal Advanced JTAG Debug Interface

    SystemVerilog • Built by @rherveille

  19. ObviouslyGreen / JZ-Wentworth-Cache-Now

    SystemVerilog • Built by @ObviouslyGreen @mycker @jeffzzz

  20. jeras / rp8

    RISC processor 8bit (AVR ISA), RTL based on 'navre'

    SystemVerilog • Built by @jeras

  21. UCLONG / NetEmulation

    Software Simulation and Hardware Synthesis of Electrical and Optical Interconnection Networks

    SystemVerilog • Built by @DannyNicholls @BorisDosen @pmwatts @ridwanmadarbux @p-andreades

  22. ljepson74 / svsc

    SystemVerilog and UVM examples

    SystemVerilog • Built by @ljepson74

  23. amiq-consulting / amiq_eth

    Library defining all Ethernet packets in SystemVerilog and in SystemC

    SystemVerilog • Built by @amiq-consulting

  24. Poofjunior / HardwareModules

    A collection of portable hardware modules

    SystemVerilog • Built by @Poofjunior

  25. rdustinb / fpga_functions

    Repository captures many of the FPGA Logic cores I have created

    SystemVerilog • Built by @rdustinb

ProTip! Looking for most starred SystemVerilog repositories? Try this search
Something went wrong with that request. Please try again.